codesearch.isocpp.org by Andrew Tomazos.

Enter a valid C/C++ code snippet...

Searching for `signal`...

2489599 source files searched.

100870 matches found.

Here is a random sample of matches...


actcd19/main/e/ember/ember_0.7.2+dfsg-2/src/components/ogre/widgets/representations/bindings/lua/Representations.cxx:1990:

    #endif
{
sigc::signal<void>& tolua_ret = (sigc::signal<void>&) self->getEventValueChangedSignal();
tolua_pushusertype(tolua_S,(void*)&tolua_ret,"sigc::signal<void>");
}


actcd19/main/s/sdlbasic/sdlbasic_0.0.20070714-6/src/sdlBasic/src/sdlBasic/gtk/SciTEGTK.cxx:3414:

    #endif

signal(SIGCHLD, SciTEGTK::ChildSignal);

#ifdef __vms


actcd19/main/a/aptitude/aptitude_0.8.11-7/src/qt/qt_main.cc:67:

    
// Don't crash if a subprocess breaks a pipe.
signal(SIGPIPE, SIG_IGN);

// TODO: this should be connected to something that properly


actcd19/main/r/rsyslog/rsyslog_8.4.2-1/tests/nettester.c:632:

    
/* arm died-child handler */
signal(SIGCHLD, childDied);

/* make sure we do not abort if there is an issue with pipes.


actcd19/main/s/scummvm/scummvm_2.0.0+dfsg-2/engines/tsage/blue_force/blueforce_scenes1.cpp:498:

    }

void Scene110::Action2::signal() {
Scene110 *scene = (Scene110 *)BF_GLOBALS._sceneManager._scene;


actcd19/main/s/screen/screen_4.6.2-3/.pc/81_session_creation_util.patch/misc.c:419:

          signal(SIGHUP, SIG_DFL);
signal(SIGINT, SIG_IGN);
signal(SIGQUIT, SIG_DFL);
signal(SIGTERM, SIG_DFL);
# ifdef BSDJOBS


actcd19/main/e/exim4/exim4_4.92-2/src/daemon.c:1838:

    
signal(SIGHUP, SIG_DFL);
signal(SIGCHLD, SIG_DFL);

/* Re-exec if privilege has been given up, unless deliver_drop_


actcd19/main/g/gdb/gdb_8.1-4/gdb/gdbserver/lynx-low.c:337:

           ? (n == 1 ? PTRACE_SINGLESTEP_ONE : PTRACE_SINGLESTEP)
: PTRACE_CONT);
const int signal = resume_info[0].sig;

/* If given a minus_one_ptid, then try using the current_process'


actcd19/main/h/heimdal/heimdal_7.5.0+dfsg-2.1/kcm/main.c:85:

        }
#else
signal(SIGUSR1, sigusr1);
signal(SIGUSR2, sigusr2);
signal(SIGPIPE, SIG_IGN);


actcd19/main/i/inn/inn_1.7.2q-46/syslog/syslogd.c:199:

    	oldhup = signal(SIGHUP, SIG_IGN);
if (mask & sigmask(SIGALRM))
oldhup = signal(SIGALRM, SIG_IGN);
}
#endif


actcd19/main/w/weechat/weechat_1.9-1/src/plugins/lua/weechat-lua-api.c:2680:

    int
weechat_lua_api_hook_signal_cb (const void *pointer, void *data,
const char *signal,
const char *type_data, void *signal_data)
{


actcd19/main/p/percona-xtrabackup/percona-xtrabackup_2.2.3-2.1/storage/ndb/src/kernel/blocks/ndbcntr/Ndbcntr.hpp:205:

      void execCREATE_FILE_CONF(Signal* signal);
void execNDB_STTORRY(Signal* signal);
void execNDB_STARTCONF(Signal* signal);
void execREAD_NODESREQ(Signal* signal);
void execNDB_STARTREF(Signal* signal);


actcd19/main/d/dbus-c++/dbus-c++_0.9.0-8/tools/generate_proxy.cpp:486:

        for (Xml::Nodes::iterator si = signals.begin(); si != signals.end(); ++si)
{
Xml::Node &signal = **si;
Xml::Nodes args = signal["arg"];


actcd19/main/q/qtbase-opensource-src/qtbase-opensource-src_5.10.1+dfsg-5/src/corelib/kernel/qmetaobject.cpp:916:

                                              const QMetaMethodPrivate *method)
{
if (signal->methodType() != QMetaMethod::Signal)
return false;
if (signal->parameterCount() < method->parameterCount())


actcd19/main/c/courier/courier_1.0.5-2/libs/sqwebmail/changepw.c:58:

    	}

signal(SIGCHLD, SIG_DFL);
signal(SIGPIPE, SIG_IGN);


actcd19/main/l/linux/linux_4.19.12-1/drivers/gpu/drm/amd/display/dc/core/dc_link.c:860:

    
link->type = dc_connection_none;
sink_caps.signal = SIGNAL_TYPE_NONE;
}


actcd19/main/q/qtbase-opensource-src/qtbase-opensource-src_5.10.1+dfsg-5/tests/auto/corelib/kernel/qmetaobject/tst_qmetaobject.cpp:1465:

        QVERIFY(prop.isValid());
QVERIFY(!prop.hasNotifySignal());
signal = prop.notifySignal();
QCOMPARE(signal.methodSignature(), QByteArray());
}


actcd19/main/l/linux/linux_4.19.12-1/drivers/gpu/drm/amd/display/dc/dce/dce_link_encoder.c:1019:

    	cntl.transmitter = enc110->base.transmitter;
cntl.pll_id = clock_source;
cntl.signal = SIGNAL_TYPE_DISPLAY_PORT_MST;
cntl.lanes_number = link_settings->lane_count;
cntl.hpd_sel = enc110->base.hpd_source;


actcd19/main/l/linux/linux_4.17.14-1/kernel/signal.c:814:

    static bool prepare_signal(int sig, struct task_struct *p, bool force)
{
struct signal_struct *signal = p->signal;
struct task_struct *t;
sigset_t flush;


actcd19/main/p/percona-xtrabackup/percona-xtrabackup_2.2.3-2.1/storage/ndb/src/kernel/blocks/dbtup/DbtupTrigger.cpp:1873:

      req->tupVersion = regOperPtr->tupVersion;
req->opInfo = TuxMaintReq::OpAdd;
return addTuxEntries(signal, regOperPtr, regTabPtr);
}


actcd19/main/libn/libnih/libnih_1.0.3-10/.pc/adapt-test-suite-to-expat-2.2.5.patch/nih-dbus-tool/tests/test_parse.c:7185:

    		TEST_ALLOC_PARENT (signal, interface);
TEST_EQ_STR (signal->name, "Wibble");
TEST_ALLOC_PARENT (signal->name, signal);
TEST_EQ_STR (signal->symbol, "wibble");
TEST_ALLOC_PARENT (signal->symbol, signal);


actcd19/main/libi/libitpp/libitpp_4.3.1-7/itpp/protocol/signals_slots.h:301:

      //! Default constructor
TTimer(THandler & handler, void (THandler::*handlerFunction)(Ttype time)) :
signal("timer_signal", true) {
fPending = false;
fExpirationTime = 0;


actcd19/main/c/csh/csh_20110502-4/.pc/06_time_h_for_time_t.diff/csh.c:815:

        if (loginsh) {
(void) signal(SIGQUIT, SIG_IGN);
(void) signal(SIGINT, SIG_IGN);
(void) signal(SIGTERM, SIG_IGN);
setintr = 0; /* No interrupts after "logout" */


actcd19/main/d/d-itg/d-itg_2.8.1-r1023-3/src/ITGSend/ITGSend.cpp:4517:

    	SET_PORT(logHost, htons(DEFAULT_LOG_PORT_SIGNALING));

signal.protocol = protocolLog;

strcpy(signal.logFile, logFile);


actcd19/main/s/shadow/shadow_4.5-1.1/.pc/429_login_FAILLOG_ENAB/src/login.c:1337:

    	(void) signal (SIGQUIT, SIG_DFL);	/* default quit signal */
(void) signal (SIGTERM, SIG_DFL); /* default terminate signal */
(void) signal (SIGALRM, SIG_DFL); /* default alarm signal */
(void) signal (SIGHUP, SIG_DFL); /* added this. --marekm */
(void) signal (SIGINT, SIG_DFL); /* default interrupt signal */


actcd19/main/s/synfigstudio/synfigstudio_1.0.2-1/.pc/c++11.patch/src/synfigapp/canvasinterface.h:175:

    
//! Signal called whenever the time changes
sigc::signal<void> signal_time_changed() { return signal_time_changed_; }

//! Signal called whenever a data node has been replaced.


actcd19/main/a/ardour/ardour_5.12.0-2/libs/surfaces/osc/osc_select_observer.cc:469:

    				string path = "/select/signal";
lo_message msg = lo_message_new ();
float signal;
if (now_meter < -40) {
signal = 0;


actcd19/main/m/moreutils/moreutils_0.62-1/sponge.c:181:

    	for (i = 0; i < nsigs; i++)
if (signal(sig[i], SIG_IGN) != SIG_IGN) {
signal(sig[i], sighandler);
siginterrupt (sig[i], 1);
}


actcd19/main/b/binutils-avr/binutils-avr_2.26.20160125+Atmel3.6.1-4/binutils/gdb/nto-procfs.c:742:

    nto_handle_sigint_twice (int signo)
{
signal (signo, ofunc);
interrupt_query ();
signal (signo, nto_handle_sigint_twice);


actcd19/non-free/b/broadcom-sta/broadcom-sta_6.30.223.271-10/.pc/11-linux411.patch/amd64/src/wl/sys/wl_linux.c:265:

    	uint16 channel_freq;
uint16 channel_flags;
uint8 signal;
uint8 noise;
int8 antenna;


actcd19/main/w/wine/wine_3.0.4-1/dlls/ntdll/signal_i386.c:2178:

     * as early as possible.
*/
static void usr2_handler( int signal, siginfo_t *siginfo, void *sigcontext )
{
EXCEPTION_RECORD *rec = setup_exception( sigcontext, raise_vm86_sti_exception );


actcd19/main/f/firefox/firefox_65.0.1-1/media/webrtc/trunk/webrtc/modules/audio_coding/neteq/background_noise.cc:169:

    
int32_t BackgroundNoise::CalculateAutoCorrelation(
const int16_t* signal, size_t length, int32_t* auto_correlation) const {
static const int kCorrelationStep = -1;
const int correlation_scale =


actcd19/main/p/percona-xtrabackup/percona-xtrabackup_2.2.3-2.1/storage/ndb/src/kernel/blocks/cmvmi/Cmvmi.cpp:2473:

         * be failed
*/
Uint32 sendingNode = refToNode(signal->getSendersBlockRef());
DEBUG("Running simBlock failure code for node " << sendingNode);


actcd19/main/libn/libnih/libnih_1.0.3-10/.pc/adapt-test-suite-to-expat-2.2.5.patch/nih-dbus-tool/tests/test_parse.c:5421:

    		TEST_ALLOC_PARENT (signal->name, signal);
TEST_EQ_STR (signal->symbol, "bonk");
TEST_ALLOC_PARENT (signal->symbol, signal);
TEST_FALSE (signal->deprecated);
TEST_LIST_NOT_EMPTY (&signal->arguments);


actcd19/main/l/linux/linux_4.15.11-1/drivers/media/dvb-frontends/stv0288.c:409:

    

signal = signal * 5 / 4;
*strength = (signal > 0xffff) ? 0xffff : (signal < 0) ? 0 : signal;
dprintk("stv0288_read_signal_strength %d\n", *strength);


actcd19/main/w/weechat/weechat_2.4-1/src/plugins/xfer/xfer.c:1772:

        (void) pointer;
(void) data;
(void) signal;
(void) type_data;


actcd19/main/m/mame/mame_0.176-3/src/devices/sound/okim6376.cpp:211:

    	/* clamp to the maximum 12bit */
if (voice->signal > 2047)
voice->signal = 2047;
else if (voice->signal < -2048)
voice->signal = -2048;


actcd19/main/n/netdde/netdde_0.0.20150828-5/linux/drivers/net/wireless/iwlwifi/iwl-3945.c:636:

    
/* Convert 3945's rssi indicator to dBm */
rx_status.signal = rx_stats->rssi - IWL39_RSSI_OFFSET;

/* Set default noise value to -127 */


actcd19/main/l/linux/linux_4.18.8-1/net/mac80211/sta_info.c:360:

    
sta->last_connected = ktime_get_seconds();
ewma_signal_init(&sta->rx_stats_avg.signal);
ewma_avg_signal_init(&sta->status_stats.avg_ack_signal);
for (i = 0; i < ARRAY_SIZE(sta->rx_stats_avg.chain_signal); i++)


actcd19/main/o/opencfu/opencfu_3.9.0-3/src/gui/headers/Gui_MaskSetter.hpp:126:

        void emptyPoints(){m_points.resize(0);queue_draw();}
const std::vector<cv::Point2f>& getPoints(){return m_points;}
sigc::signal<void>& signal_click_on_obj(){return m_signal_click_on_obj;}

protected:


actcd19/main/libv/libvirt/libvirt_3.6.0-1/tests/shunloadtest.c:87:

    {
ignore_value(write(STDERR_FILENO, "FAIL\n", 5));
signal(sig, SIG_DFL);
raise(sig);
}


actcd19/main/c/cctools/cctools_3.5.1-2/dttools/src/multirun.c:211:

    	}

signal(SIGPIPE, ignore_signal);
signal(SIGCHLD, ignore_signal);
signal(SIGALRM, alarm_handler);


actcd19/main/l/linux/linux_4.19.12-1/arch/s390/kernel/traps.c:236:

    	save_fpu_regs();
if (current->thread.fpu.fpc & FPC_DXC_MASK)
signal = SIGFPE;
else
signal = SIGILL;


actcd19/non-free/b/broadcom-sta/broadcom-sta_6.30.223.271-10/.pc/05-remove-time-and-date-macros.patch/amd64/src/wl/sys/wl_linux.c:2766:

    			rtvht->channel_freq = HTOL16(channel_frequency);
rtvht->channel_flags = HTOL16(channel_flags);
rtvht->signal = (int8)rxsts->signal;
rtvht->noise = (int8)rxsts->noise;
rtvht->antenna = rxsts->antenna;


actcd19/main/h/hfsprogs/hfsprogs_332.25-11/restore.tproj/main.c:174:

    		(void) signal(SIGINT, SIG_IGN);
if (signal(SIGTERM, onintr) == SIG_IGN)
(void) signal(SIGTERM, SIG_IGN);
setlinebuf(stderr);


actcd19/main/e/e2fsprogs/e2fsprogs_1.44.6-1/ext2ed/init.c:452:

    
{
signal (SIGWINCH, signal_SIGWINCH_handler); /* Catch SIGWINCH */
signal (SIGTERM, signal_SIGTERM_handler);
signal (SIGSEGV, signal_SIGSEGV_handler);


actcd19/main/v/vde2/vde2_2.3.2+r586-2.2/src/vde_switch/consmgmt.c:953:

    	add_swm(&swmi);
#ifdef DEBUGOPT
signal(SIGHUP,sighupmgmt);
#endif
}


actcd19/main/s/sra-sdk/sra-sdk_2.9.3+dfsg-1/tools/sra-load/ztr.h:173:

    	struct ztr_comment_t    *comment;   /* chunk type 'COMM' */
struct ztr_region_t *region; /* chunk type 'REGN' */
struct ztr_signal_t *signal; /* chunk type 'SAMP' */
struct ztr_signal4_t *signal4; /* chunk type 'SMP4' */
#if ZTR_USE_TEXT_CHUNK


actcd19/main/r/rr/rr_5.2.0-4/src/RerunCommand.cc:512:

          }
ASSERT(task, false) << "Unexpected signal "
<< *result.break_status.signal;
}
}


actcd19/main/n/nn/nn_6.7.3-10/global.c:232:

    
#ifdef SIGPWR
signal(SIGPWR, catch_hangup);
#endif


actcd19/main/s/scummvm/scummvm_2.0.0+dfsg-2/engines/tsage/blue_force/blueforce_scenes4.cpp:391:

    			scene->_cuffedPassengerFl = true;
T2_GLOBALS._uiElements.addScore(50);
scene->signal();
} else
break;


actcd19/main/s/sash/sash_3.8-5/sash.c:568:

    
signal(SIGINT, catchInt);
signal(SIGQUIT, catchQuit);

/*


actcd19/main/g/gtkmm-documentation/gtkmm-documentation_3.24.0-1/examples/others/tictactoe/tictactoe.h:39:

      void clear();

sigc::signal<void> tictactoe;

TicTacToe();


actcd19/main/g/gobject-introspection/gobject-introspection_1.58.3-2/girepository/girparser.c:2394:

    
if (no_recurse && strcmp (no_recurse, "1") == 0)
signal->no_recurse = TRUE;
else
signal->no_recurse = FALSE;


actcd19/main/c/courier/courier_1.0.5-2/libs/sqwebmail/sqwebmail.c:2247:

    
signal(SIGHUP, catch_sig);
signal(SIGINT, catch_sig);
signal(SIGPIPE, catch_sig);
signal(SIGTERM, catch_sig);


actcd19/main/k/kwin/kwin_5.14.5-1/main_x11.cpp:394:

        }

if (signal(SIGTERM, KWin::sighandler) == SIG_IGN)
signal(SIGTERM, SIG_IGN);
if (signal(SIGINT, KWin::sighandler) == SIG_IGN)


actcd19/main/z/zile/zile_2.4.14-7/src/main.c:94:

    {
/* Set up signal handling */
signal (SIGSEGV, segv_sig_handler);
signal (SIGBUS, segv_sig_handler);
signal (SIGHUP, other_sig_handler);


actcd19/main/p/pidgin/pidgin_2.13.0-2/libpurple/signals.c:308:

    					PurpleCallback func, void *data)
{
return signal_connect_common(instance, signal, handle, func, data, PURPLE_SIGNAL_PRIORITY_DEFAULT, FALSE);
}


actcd19/main/t/trilinos/trilinos_12.12.1-7/packages/zoltan/test/Large_Data/stressTestRCB.c:143:

    #ifdef HOST_LINUX
signal(SIGSEGV, meminfo_signal_handler);
signal(SIGINT, meminfo_signal_handler);
signal(SIGTERM, meminfo_signal_handler);
signal(SIGABRT, meminfo_signal_handler);


actcd19/main/h/hamlib/hamlib_3.3-5/tuner/v4l2.c:362:

    	return -RIG_EIO;
}
val->i = vt.signal;
break;


actcd19/main/l/linux/linux_4.17.17-1/drivers/gpu/drm/amd/display/dc/dce110/dce110_hw_sequencer.c:953:

    	struct dc *dc = pipe_ctx->stream->ctx->dc;

if (dc_is_hdmi_signal(pipe_ctx->stream->signal))
pipe_ctx->stream_res.stream_enc->funcs->stop_hdmi_info_packets(
pipe_ctx->stream_res.stream_enc);


actcd19/main/k/kodi/kodi_17.6+dfsg1-4/xbmc/cores/AudioEngine/Engines/ActiveAE/ActiveAESink.cpp:421:

          else if (port == &m_dataPort)
{
switch (signal)
{
case CSinkDataProtocol::DRAIN:


actcd19/main/l/linux/linux_4.18.20-2/drivers/gpu/drm/amd/display/dc/dcn10/dcn10_stream_encoder.c:590:

    	cntl.action = ENCODER_CONTROL_SETUP;
cntl.engine_id = enc1->base.id;
cntl.signal = is_dual_link ?
SIGNAL_TYPE_DVI_DUAL_LINK : SIGNAL_TYPE_DVI_SINGLE_LINK;
cntl.enable_dp_audio = false;


actcd19/main/n/nas/nas_1.9.4-6/server/dda/hpux/auhpux.c:556:

         * Ignore signal
*/
oldhandler = signal(SIGALRM, SIG_IGN);

if (relinquish_device) {


actcd19/main/libm/libmanette/libmanette_0.2.1-2/src/manette-device.c:189:

      const ManetteMappingBinding * binding;
ManetteEvent *mapped_event;
guint signal;
gdouble absolute_value;
gboolean pressed;


actcd19/main/h/hurd/hurd_0.9.git20190303-1/mach-defpager/main.c:107:

          sigset_t set;
signal (SIGUSR1, nohandler);
signal (SIGCHLD, nohandler);
sigemptyset (&set);
sigaddset (&set, SIGUSR1);


actcd19/main/a/alsamixergui/alsamixergui_0.9.0rc2-1-10/src/alsamixer.cxx:2161:

      signal (SIGBUS, mixer_signal_handler);
signal (SIGSEGV, mixer_signal_handler);
signal (SIGPIPE, mixer_signal_handler);
signal (SIGTERM, mixer_signal_handler);


actcd19/main/a/abiword/abiword_3.0.2-8/src/wp/impexp/xp/ie_imp_PasteListener.h:72:

    		{ return true;}

virtual bool signal(UT_uint32 /*iSignal*/)
{ return true;}
virtual PLListenerType getType() const


actcd19/main/l/linux/linux_4.18.20-2/include/net/cfg80211.h:4920:

    		.chan = rx_channel,
.scan_width = scan_width,
.signal = signal,
};


actcd19/main/p/percona-xtrabackup/percona-xtrabackup_2.2.3-2.1/storage/ndb/src/kernel/blocks/suma/Suma.cpp:6323:

        signal->theData[3] = (Uint32) pos.m_max_gci;
signal->theData[4] = (Uint32) (gcp.p->m_gci >> 32);
sendSignal(CMVMI_REF, GSN_EVENT_REP, signal, 5, JBB);
m_missing_data = true;
return;


actcd19/main/m/mame/mame_0.176-3/src/devices/bus/ieee488/ieee488.cpp:209:

    			if (!strcmp(entry->m_device->tag(), device->tag()))
{
if (entry->m_line[signal] != state)
{
if (LOG) logerror("%s IEEE488: '%s' %s %u\n", machine().describe_context(), device->tag(), SIGNAL_NAME[signal], state);


actcd19/main/q/quisk/quisk_3.6.18-2/quisk.c:415:

    				//average_fft[i] = 0.9 * average_fft[i] + 0.1 * d;
average_fft[i] = 0.5 * average_fft[i] + 0.5 * d;
if (abs(i - signal) > delta_sig && average_fft[i] > d1) {
d1 = average_fft[i];
i1 = i;


actcd19/main/h/hwinfo/hwinfo_21.63-3/hwscanqueue.c:109:

    		if ( fd < 0 ){
pid_t pid;
signal(SIGCHLD,SIG_IGN);
pid=fork();
if (pid==0){


actcd19/main/i/irda-utils/irda-utils_0.9.18-15/irdadump/main.c:144:

    		}
}
signal(SIGTERM, cleanup);
signal(SIGINT, cleanup);
signal(SIGHUP, cleanup);


actcd19/main/g/gambas3/gambas3_3.9.2-2/main/gbx/gbx_component.c:396:

    

void COMPONENT_signal(int signal, void *param)
{
COMPONENT *comp;


actcd19/main/m/mame/mame_0.176-3/src/devices/bus/econet/econet.h:105:

    	devcb_write_line   m_write_data;

inline void set_signal(device_t *device, int signal, int state);
inline int get_signal(int signal);


actcd19/main/p/percona-xtrabackup/percona-xtrabackup_2.2.3-2.1/storage/ndb/src/kernel/vm/SimulatedBlock.cpp:3178:

    
Ptr<SectionSegment> tmp;
if(unlikely(!import(tmp, &signal->theData[0], length)))
{
handle_out_of_longsignal_memory(0);


actcd19/main/x/xoscope/xoscope_2.2-1/func.c:313:

            ext->signal.rate = ch[0].signal->rate;
ext->signal.delay = ch[0].signal->delay;
ext->signal.bits = ch[0].signal->bits;
}


actcd19/main/y/yuma123/yuma123_2.11-1/netconf/src/mgr/mgr_signal.c:105:

            sh_int = signal(SIGINT, mgr_signal_handler);
sh_hup = signal(SIGHUP, mgr_signal_handler);
sh_term = signal(SIGTERM, mgr_signal_handler);
sh_pipe = signal(SIGPIPE, mgr_signal_handler);
sh_alarm = signal(SIGALRM, mgr_signal_handler);


actcd19/main/n/netkit-ftp-ssl/netkit-ftp-ssl_0.17.34+0.2-4.1/.pc/700_prefer_tls.diff/ftp/main.c:410:

    		if (sigsetjmp(jmploc, 1))
exit(0);
(void) signal(SIGINT, inthandler);
(void) signal(SIGPIPE, SIG_IGN);
setpeer(argc + 1, argv - 1);


actcd19/main/d/dcfldd/dcfldd_1.3.4.1-13/dcfldd.c:316:

        sigaction(sig, &sigact, NULL);
#else
signal(sig, SIG_DFL);
#endif
cleanup();


actcd19/main/g/gnome-todo/gnome-todo_3.28.1-2/src/gtd-timer.c:109:

      gboolean resuming;

if (!g_str_equal (signal, "PrepareForSleep"))
return;


actcd19/main/o/openr2/openr2_1.3.3-2/src/r2proto.c:47:

    #include "openr2/r2context-pvt.h"

#define R2(r2chan, signal) (r2chan)->r2context->cas_signals[OR2_CAS_##signal]

#define GA_TONE(r2chan) (r2chan)->r2context->mf_ga_tones


actcd19/main/libn/libnih/libnih_1.0.3-10/nih-dbus-tool/tests/test_parse.c:5425:

    		TEST_LIST_NOT_EMPTY (&signal->arguments);

argument = (Argument *)signal->arguments.next;
TEST_ALLOC_SIZE (argument, sizeof (Argument));
TEST_ALLOC_PARENT (argument, signal);


actcd19/main/c/chromium/chromium_72.0.3626.121-1/chrome/app/chrome_main_delegate.cc:355:

    
#if !defined(OS_MACOSX) && !defined(OS_ANDROID)
void SIGTERMProfilingShutdown(int signal) {
content::Profiling::Stop();
struct sigaction sigact;


actcd19/main/p/percona-xtrabackup/percona-xtrabackup_2.2.3-2.1/storage/ndb/src/kernel/blocks/qmgr/QmgrMain.cpp:4024:

      }

PrepFailReqRef * const prepFail = (PrepFailReqRef *)&signal->theData[0];

BlockReference Tblockref = prepFail->xxxBlockRef;


actcd19/main/s/squeak-vm/squeak-vm_4.10.2.2614-8/unix/plugins/PseudoTTYPlugin/sqUnixPseudoTTYPlugin.c:156:

    	kill(slave->pid, SIGKILL);
usleep(200*1000);
signal(SIGCHLD, prevchld);
while (slaves)
{


actcd19/main/q/qstat/qstat_2.15-4/.pc/version_option.patch/qstat.c:3315:

    	}
#else
signal(SIGPIPE, SIG_IGN);
#endif


actcd19/main/d/darkplaces/darkplaces_0~20180412~beta1-2/vid_null.c:49:

    	signal(SIGBUS, signal_handler);
signal(SIGFPE, signal_handler);
signal(SIGSEGV, signal_handler);
signal(SIGTERM, signal_handler);
#endif


actcd19/main/l/linux/linux_4.18.10-2/drivers/gpu/drm/amd/display/dc/dcn10/dcn10_opp.c:242:

    	if (signal == SIGNAL_TYPE_HDMI_TYPE_A ||
signal == SIGNAL_TYPE_DISPLAY_PORT ||
signal == SIGNAL_TYPE_DISPLAY_PORT_MST ||
signal == SIGNAL_TYPE_VIRTUAL) {
switch (color_dpth) {


actcd19/main/x/xenomai/xenomai_2.6.4+dfsg-1/examples/rtdm/profiles/ipc/xddp-stream.c:226:

    	signal(SIGINT, cleanup_upon_sig);
sigaddset(&mask, SIGTERM);
signal(SIGTERM, cleanup_upon_sig);
sigaddset(&mask, SIGHUP);
signal(SIGHUP, cleanup_upon_sig);


actcd19/main/s/synfig/synfig_1.0.2-1/src/synfig/valuenode.h:182:

    
//! ID Changed
sigc::signal<void>& signal_id_changed() { return signal_id_changed_; }

/*


actcd19/main/n/nim/nim_0.13.0-1/c_code/2_1/stdlib_system.c:3215:

    
N_NIMCALL(void, registersignalhandler_23002)(void) {
signal(SIGINT, signalHandler);
signal(SIGSEGV, signalHandler);
signal(SIGABRT, signalHandler);


actcd19/main/s/staden/staden_2.0.0+b11-4/gap5/gap-error.c:82:

    	signal(SIGSEGV, SIG_DFL);
#if defined(SIGBUS)
signal(SIGBUS, SIG_DFL);
#endif
stack_trace();


actcd19/main/t/traverso/traverso_0.49.5-3/.pc/02-fix_spelling.patch/src/traverso/Main.cpp:73:

    #if defined (Q_WS_X11) || defined (Q_WS_MAC)
signal(SIGINT, catch_signal);
signal(SIGSEGV, catch_signal);
#endif


actcd19/main/p/pen/pen_0.34.1-1/penctl.c:107:

    
if (fd < 0) error("error opening socket");
signal(SIGALRM, alarm_handler);
n = connect(fd, runp->ai_addr, runp->ai_addrlen);
alarm(0);


actcd19/main/q/qtwebengine-opensource-src/qtwebengine-opensource-src_5.11.3+dfsg-2/src/3rdparty/chromium/third_party/webrtc/rtc_base/sigslottester.h:157:

          capture1_(capture1), capture2_(capture2), capture3_(capture3),
capture4_(capture4) {
signal->connect(this, &SigslotTester4::OnSignalCallback);
}


actcd19/main/q/qtbase-opensource-src/qtbase-opensource-src_5.10.1+dfsg-5/src/corelib/kernel/qobject.cpp:2430:

        Q_D(const QObject);
int receivers = 0;
if (signal) {
QByteArray signal_name = QMetaObject::normalizedSignature(signal);
signal = signal_name;


actcd19/main/p/percona-xtrabackup/percona-xtrabackup_2.2.3-2.1/storage/ndb/src/kernel/blocks/qmgr/QmgrMain.cpp:1646:

          {
signal->theData[1] = 7;
signal->theData[2] = Uint32((no_nodegroup_timeout - now + 500) / 1000);
}
else if (no_nodegroup_active && now >= no_nodegroup_timeout)


actcd19/main/e/ember/ember_0.7.2+dfsg-2/src/components/ogre/terrain/TerrainHandler.h:359:

    	 * The second parameter is the pages that will be updated.
*/
sigc::signal<void, const std::vector<WFMath::AxisBox<2>>&, const std::set<TerrainPage*>&> EventBeforeTerrainUpdate;

/**